gentoo.LinuxHowtos.org

Details of sci-electronics/ghdl:

Description: Open-source analyzer, compiler, and simulator for VHDL 2008/93/87
Homepage: https://ghdl.github.io/ghdl/ https://github.com/ghdl/ghdl/

available versions:

releasesalphaamd64armhppaia64mipsppcppc64ppc macoss390shsparcx86USE-Flagsdependenciesebuild warnings
ghdl-4.1.0 -------------llvm
show
show
ghdl-4.0.0-r1 -------------llvm
show
show
Legend:
+ stable
~ testing
- not available
some ebuild warning depend on specific use-flags or architectures, all ebuild-warnings are shown.

Tutorials:
no tutorial found


back